Welcome![Sign In][Sign Up]
Location:
Search - fpga usb

Search list

[VHDL-FPGA-Verilogcalculator_vhdl

Description: Design PC calculator controlled by PC, using FPGA .PC and FPGA are connected by USB. -Design PC calculator controlled by PC, using FPGA .PC and FPGA are connected by USB.
Platform: | Size: 3501056 | Author: song | Hits:

[Special EffectsVIDEO-FPGA

Description: 视频采集输出实例,FPGA视频采集和输出-Video Capture output examples
Platform: | Size: 6034432 | Author: 王刚 | Hits:

[VC/MFCChap17

Description: VC++ cy7c68013 usb上位机-VC++ cy7c68013 usb PC
Platform: | Size: 1777664 | Author: 凌世波 | Hits:

[VHDL-FPGA-Verilogpc

Description: 键盘和USB与PC机的接口程序,适用于CPLD FPGA设计中,与上位机的连接与通信-Keyboard and USB and PC-interface program for the design of CPLD FPGA with PC connectivity and communication
Platform: | Size: 165888 | Author: mengzi | Hits:

[VHDL-FPGA-VerilogFPGACPLD

Description: FPGA数字电子系统设计与开发实例导航> 一书的代码,FPGA数字电子系统设计与开发实例导航,用硬件描述语言编写的,I2C,UART,USB,VGA,CAN-BUS,网络等等的书籍配套原代码。。。。使用方法: 1.拷贝到硬盘。 2.用ISE创建项目,分别加入各个代码文件,即可
Platform: | Size: 175104 | Author: bbc | Hits:

[Embeded-SCM DevelopFPGA

Description: 有关FPGA的一些资料以及个别源程序,编辑环境为quartus 主要有:电子设计竞赛优秀论文 ----相位测量仪 采用高速AD的存储示波器设计 基于FPGA的多种分频设计与实现 兼容ALTERA公司的USB Blaster下载线的原理图和PCB文件 FPGA与单片机的接口程序 FPGA的大量课件和实验源代码资料 FPGA七段译码器的设计 QuartusII学习资料-FPGA QuartusII
Platform: | Size: 51513344 | Author: 丁南 | Hits:

[VHDL-FPGA-VerilogUsb

Description: 基于FPGA的驱动设计,使得用户的USB驱动在此完美实现。-FPGA-based drive design makes the user' s USB drive in this work perfectly.
Platform: | Size: 770048 | Author: liuyu | Hits:

[Booksusb

Description: 在高速的数据采集或传输中,目前使用较多的都是采用USB 2.0接口控制器和FPGA或DSP实现的,本设计在USB 2.0接口芯片CY7C68013的Slave FIFO模式下,利用FPGA作为外部主控制器实现对FX2 USB内部的FIFO进行控制,以实现数据的高速传输。该模块可普遍适用于基于USB 2.0接口的高速数据传输或采集中。-In the high-speed data acquisition or transmission, the currently used are based on more USB 2.0 interface controller and the FPGA or DSP implementation, the design USB 2.0 interface chip CY7C68013 of the Slave FIFO mode, the use of FPGA as a the external FX2 USB host controller to realize the internal FIFO control, in order to achieve high-speed data transmission. The module can be generally applied based on high-speed USB 2.0 interface, transfer or acquisition of data.
Platform: | Size: 894976 | Author: jiang_jennifer | Hits:

[Other6713_FPGA

Description: DSP+FPGA+USB2.0板子电路图 DSP是6713;FPGA是XilinxXC2S200;USB芯片是CY68013A-128AXC-DSP+ FPGA+ USB2.0 circuit board DSP is 6713 FPGA is XilinxXC2S200 USB chip is CY68013A-128AXC
Platform: | Size: 41984 | Author: Hosea | Hits:

[OtherF2812-USB

Description: 用protel DXP设计的F2812-USB电路板,本工程包括FPGA程序和CY7C68013固件程序的PCB原理图和PCB板图。-With protel DXP designed F2812-USB circuit board, the works include the FPGA programs and CY7C68013 firmware for PCB schematic and PCB board diagram.
Platform: | Size: 320512 | Author: zhaox | Hits:

[Embeded-SCM Developusb

Description: 这是一个USB的FPGA,VHDL研究解决方案,全部开源,详情请看内部txt文件-This is a USB-FPGA, VHDL on a solution, all open source, more information, please txt files inside
Platform: | Size: 206848 | Author: 黄振 | Hits:

[VHDL-FPGA-Verilogusb_blaster

Description: usb下载线usb_blaster,用于cpld\fpga等,刚刚调试完-usb download cable usb_blaster, for cpld \ fpga and so on, had just finished debugging. .
Platform: | Size: 2793472 | Author: 张东 | Hits:

[VHDL-FPGA-Verilogusb_xilinx_vhdl

Description: usb开发代码 基于VHDL语言的FPGA-usb development of VHDL-based FPGA code
Platform: | Size: 56320 | Author: zhangying | Hits:

[VHDL-FPGA-VerilogUSBFPGA

Description: USB AND FPGA REFERENTIAL BOARD
Platform: | Size: 134144 | Author: Marcos Vin铆cius | Hits:

[VHDL-FPGA-Verilogusb_wr_Verilog

Description: fpga ubs通讯模块 verlog语言 使用EZ-USB FX2-USB interface. use EZ-USB FX2 carry out PC communication with FPGA by USB.
Platform: | Size: 31744 | Author: shenjianfei | Hits:

[BooksUSB

Description: USB2.0的中文文档,以及一篇FPGA开发USB的论文,用于USB开发时参考。-the pdf file of USB2.0
Platform: | Size: 1006592 | Author: liyang | Hits:

[Software EngineeringUSB_FPGA_development_doc

Description: USB+FPGA开发教程,适合初学者。 有空一定看看,值得一阅!-USB+FPGA development teaching book,which is very fit for beginner. it deserves.
Platform: | Size: 8529920 | Author: LI | Hits:

[VHDL-FPGA-VerilogUSB

Description: FPGA数字电子系统设计与开发实例I2C UART VGA USB,可编程器件开发通用模块-FPGA digital electronic system design and development examples I2C UART VGA USB, programmable device of common modules
Platform: | Size: 140288 | Author: 王世臣 | Hits:

[USB develop42pcb

Description: 该工程是一个FPGA的开发板 主要包括了FPGA芯片:EP3C25Q240C8 FPGA的配置芯片采用:EPCS16 USB芯片:CY7C68013A USB的FLASH采用:24LC64 AD芯片:AD9238 电源部分:LMS1117-3.3两块分别对USB、模拟部分和FPGA供电;LMS1117-1.2为FPGA核心电压;LMS1117-2.5为 IO口及PLL供电 9盏LED,4个开关 主要完成的一个功能是高速模拟信号的采集,以及上位机传输,该板经调试可用,现已在实验室批量使用。 铺铜采用了网格形式,并且将模拟部分与数字部分隔离开来。 如有疑问欢迎来询:QQ150524225-The project is an FPGA development board includes an FPGA chip: EP3C25Q240C8 FPGA configuration chip: EPCS16 USB Chip: CY7C68013A USB to FLASH using: 24LC64 AD chip: AD9238 power supply: LMS1117-3.3 respectively, two USB, analog and FPGA Power LMS1117-1.2 for the FPGA core voltage LMS1117-2.5 for the IO port, and PLL power supply 9 LED, 4 switches, a main function is to complete high-speed analog signal acquisition, and the host computer transmission, the plate was debugging is available, is now used in the laboratory batch. Using a grid of copper to form, and the analog part and digital part to isolate. If in doubt welcome to inquiry: QQ150524225
Platform: | Size: 2314240 | Author: 刘卫菠 | Hits:

[USB developusb-fpga-1.2

Description: USB Tranfer using libusb ready in Java. Automatically flashes FPGA (for Xilinx Spartan 6) and starts USB-process.
Platform: | Size: 1643520 | Author: abel | Hits:
« 1 2 3 45 6 7 8 9 10 ... 21 »

CodeBus www.codebus.net